公告
莘縣信息港(http://nuodekang.com.cn/)服務(wù)大家,歡迎分享傳播!我為人人、人人為我!將為您信息免費推廣,現(xiàn)在免費注冊會員,即可免費發(fā)布各類信息。
關(guān)閉
免費發(fā)布信息
當(dāng)前位置: 首頁 » 莘縣新聞資訊 » 娛樂新聞 » 正文

出租車計價器設(shè)計

發(fā)布時間:2017-05-24 11:53:24
核心提示:  出租車計價器設(shè)計在一次聚會當(dāng)中,同學(xué)們認(rèn)為做得好,才是真的好,而且很早聽說的故事,希望在此解答疑惑。出租車計價器設(shè)計
 
 
  出租車計價器設(shè)計在一次聚會當(dāng)中,同學(xué)們認(rèn)為做得好,才是真的好,而且很早聽說的故事,希望在此解答疑惑。出租車計價器設(shè)計
 
  出租車計價器設(shè)計原理如下:
 
  1.設(shè)計目的與要求:設(shè)計出一個用于出租車的計價器。準(zhǔn)確地理解有關(guān)要求,獨立完成系統(tǒng)設(shè)計,要求所設(shè)計的電路具有以下功能:(一)基本功能:(1)顯示:可以顯示單價、里程、總金額(2)停車計費功能:中途因故停車超過5分鐘后每分鐘按當(dāng)時單價的50%收費(3)自動分時計費功能:白天和夜間應(yīng)能自動更換單價
 
  實驗任務(wù)及要求出租車計價器設(shè)計1.能實現(xiàn)計費功能,計費標(biāo)準(zhǔn)為:按行駛里程收費,起步費為10.00元,并在車行3公里后再按1.6元/公里,車暫停時,停車一分鐘之后開始加價,每分鐘增加2.5元。2.實現(xiàn)預(yù)置功能:能預(yù)置起步費、每公里收費、車行加費里程。3.實現(xiàn)模擬功能:能模擬汽車啟動、停止、暫停等狀態(tài)。4.設(shè)計動態(tài)掃描電路:將車費及暫停時間顯示出來。5.用VHDL語言設(shè)計符合上述功能要求的出租車計費器,并用層次化設(shè)計方法設(shè)計該電路。6.綜合仿真驗證,并通過有關(guān)波形確認(rèn)電路設(shè)計是否正確。7.完成電路全部設(shè)計后,通過GW48系統(tǒng)實驗箱下載驗證設(shè)計的正確性。二、實驗原理圖1出租車計價器流程圖圖2系統(tǒng)結(jié)構(gòu)圖接口部分定義如下:輸入:clk_240,系統(tǒng)時鐘,頻率為240Hz;Start,啟動信號,當(dāng)start=1時,汽車啟動,開始計價;當(dāng)start=0時,清零;Stop,暫時計時信號,當(dāng)stop-1時,中途暫停,開始計時;當(dāng)stop=0時,再次出發(fā);Fin,汽車車速脈沖信號,是一個與隨著車速變化而變化的脈沖信號。出租車計價器設(shè)計隨著出租車行業(yè)的發(fā)展,出租車已經(jīng)是城市交通的重要組成部分,國內(nèi)出租車計價器已經(jīng)經(jīng)歷了4個階段的發(fā)展。從傳統(tǒng)的全部由機(jī)械元器件組成的機(jī)械式,到半電子式即用電子線路代替部分機(jī)械元器件的出租車計價器;再從集成電路式到目前的單片機(jī)系統(tǒng)設(shè)計的出租車計價器。出租車計價器計費是否準(zhǔn)確、出租車計價器設(shè)計出租車司機(jī)是否超速才是乘客最關(guān)心的問題,而計價器營運(yùn)數(shù)據(jù)的管理是否方便才是出租車司機(jī)最關(guān)注的。因此怎樣設(shè)計出一種既能有效防止司機(jī)作弊和超速又能方便司機(jī)的計價器尤為重要。具有良好性能的計價器對出租車司機(jī)和乘客來說都是很必要的。而采用模擬電路和數(shù)字電路設(shè)計的計價器整體電路的規(guī)模較大,用到的器件多,造成故障率高,難調(diào)試。而采用單片機(jī)進(jìn)行的設(shè)計,出租車計價器設(shè)計相對來說功能強(qiáng)大,用較少的硬件和適當(dāng)?shù)能浖嗷ヅ浜峡梢院苋菀椎貙崿F(xiàn)設(shè)計要求,且靈活性強(qiáng),可以通過軟件編程來完成更多的附加功能。本設(shè)計采用AT89S52單片機(jī)為主控器,以A44E霍爾傳感器測距,并采用AT24C02實現(xiàn)在系統(tǒng)掉電的時候保存單價等信息.該計價器能實現(xiàn)里程計價與顯示、數(shù)據(jù)存儲與查詢、時鐘顯示、超速提醒、語音播報和票據(jù)打印等功能。它比市場上的一些計價器使用更方便,功能更全,出租車計價器設(shè)計還具有有效防止司機(jī)作弊和系統(tǒng)穩(wěn)定性好的優(yōu)點。其中的超速提醒功能可以提醒司機(jī)和乘客,以告誡司機(jī)不要超速行駛,以避免交通事故的發(fā)生。輸出:cha2,cha1,cha0,分別為價錢的十位,個位和角位;Km1,km0,分別為行駛公里數(shù)的十位,個位;Min0,暫時分鐘數(shù)輸出。接口部分源程序如下:port(clk_240:in std_logic;--頻率為240Hz的時鐘start:in std_logic;--計價使能信號stop:in std_logic;--等待信號fin:in std_logic;--公里脈沖信號cha2,cha1,cha0:out std_logic_vector(3 downto 0);--費用數(shù)據(jù)km1,km0:out std_logic_vector(3 downto 0);--公里數(shù)據(jù)min0:out std_logic_vector(3 downto 0));--等待時間計價部分原理如下:起步價10元,3公里內(nèi)10元,超出3公里部分,每公里1.6元,車暫停時,2分鐘內(nèi)不加價,超出2分鐘部分,每分鐘2.5元;出租車計價器設(shè)計計費部分源程序如下:feipin:process(clk_240,start)begin if clk_240'event and clk_240='1'then if start='0'then
 
  出租車計價器設(shè)計“近年來國家發(fā)展巨大,居民收入提高,很多人工作之余,開始各種各樣的娛樂項目,近日對關(guān)注是上升的。q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';else if q_15=15 then q_15<=0;f_15<='1';--此IF語句得到頻率為15Hz的信號else q_15<=q_15+1;f_15<='0';end if;if q_16=14 then q_16<=0;f_16<='1';--此IF語句得到頻率為16Hz的信號else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1';--此IF語句得到頻率為1Hz的信號else q_1<=q_1+1;f_1<='0';end if;if en1='1'then f<=f_15;--此IF語句得到計費脈沖f elsif en0='1'then f<=f_16;else f<='0';end if;end if;end if;end process;process(f_1)begin if f_1'event and f_1='1'then if start='0'then w<=0;en1<='0';en0<='0';m1<="000";出租車計價器設(shè)計m0<="0000";k1<="0000";k0<="0000";elsif stop='1'then if w=59 then w<=0;--此IF語句完成等待計時if m0="1001"then m0<="0000";--此IF語句完成分計數(shù)if m1<="101"then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000001"then en1<='1';--此IF語句得到en1使能信號else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1'then if k0="1001"then k0<="0000";--此IF語句完成公里脈沖計數(shù)if k1="1001"then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010"then en0<='1';--此IF語句得到en0使能信號else en0<='0';出租車計價器設(shè)計end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0;--費用數(shù)據(jù)輸出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0;--公里數(shù)據(jù)、分鐘數(shù)據(jù)輸出end if;end process;process(f,start)begin if start='0'then c3<="0000";c2<="0001";c1<="0000";c0<="0000";elsif f'event and f='1'then if c0="1001"出租車計價器設(shè)計then c0<="0000";--此IF語句完成對費用的計數(shù)if c1="1001"then c1<="0000";if c2="1001"then c2<="0000";if c3<="1001"then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;end if;else c1<=c1+1;出租車計價器設(shè)計end if;else c0<=c0+1;end if;end if;end process;end behav;
 
 。ǘ┬阅埽豪锍陶`差小于2%(三)擴(kuò)展功能:(1)可增加時鐘功能(2)可增加數(shù)據(jù)掉電保護(hù)功能2.設(shè)計內(nèi)容:(1)畫出電路原理圖,正確使用邏輯關(guān)系;(2)確定元器件及元件參數(shù);出租車計價器設(shè)計(3)進(jìn)行電路模擬仿真;(4)SCH文件生成與打印輸出;(5)PCB文件生成與打印輸出
 
  出租車計價器設(shè)計市場發(fā)展一片大好,但卻給整個社會帶來了嚴(yán)重的環(huán)境污染,突如其來,如今的關(guān)注,引爆朋友圈。莘縣信息港nuodekang.com.cn已獲悉
 
 
[ 新聞資訊搜索 ]  [ 加入收藏 ]  [ 告訴好友 ]  [ 打印本文 ]  [ 違規(guī)舉報 ]  [ 關(guān)閉窗口 ]

 
同類圖片新聞